PIC Vietnam

PIC Vietnam (http://www.picvietnam.com/forum/index.php)
-   Cơ bản về vi điều khiển và PIC (http://www.picvietnam.com/forum/forumdisplay.php?f=8)
-   -   Giúp e vấn đề quét led 7 ! (http://www.picvietnam.com/forum/showthread.php?t=6035)

phuongnc1010 29-03-2010 07:37 PM

Giúp e vấn đề quét led 7 !
 
Chào các bác, sau nhiều ngày cày cục và tìm hiểu nhưng hình như e vẫn chưa ngộ ra cái quét led 7 thanh thì phải ! E đang làm bài tập lớn về đèn giao thông, phần quét 4 led 7 thanh e ko mô phỏng được...bác nào giúp e với ! E làm như thế này nhưng sao nó nhấp nháy chứ ko hiển thị... :-s

Code của e đây !

Code:

#device PIC16F877A
#use delay(clock=200000)

#fuses HS,NOWDT,NOLVP,NOPROTECT
#use delay(clock=20000000)
#use fast_io(a)
#use fast_io(b)
#use fast_io(c)
#use fast_io(d)
#use fast_io(e)

#define so0 0x00
#define so1 0x01
#define so2 0x02
#define so3 0x03
#define so4 0x04
#define so5 0x50
#define so6 0x60
#define so7 0x70
#define so8 0x80
#define so9 0x90

//const unsigned char digital[]={0b00000110, 0b01011011, 0b01001111, 0b01100110,\
                  0b01101101, 0b01111101, 0b00000111, 0b01111111, 0b01101111};             
#byte portb=0x06
#byte portd=0x08                 

void main()


      set_tris_d(0x00);
      set_tris_b(0x00);
      output_b(0xff);
      output_d(0x00);
      While (1)
      {
  portb=14;
  portd=so0;
  delay_ms(10);
  portb=13;
  portd=so1;
  delay_ms(10);
  portb=11;
  portd=so2;
  delay_ms(10);
  portb=7;
  portd=so3;
  delay_ms(10);
      }
}

Mạch mô phỏng !

Code:

http://www.mediafire.com/?kl12fy1tony
http://c.upanh.com/upload/4/451/ZL0.8581867_34268_1.gif

Em định phát triển lên cho nó đếm lùi từ 99 xuống 00 cho từng cặp led 7 thanh.
Bác nào làm rồi thì cho e xin cái code với cái mạch cũng được ! Em cảm ơn nhiều... :D

phuongnc1010 01-04-2010 06:10 PM

Các bác giúp e phát! :-s


Múi giờ GMT. Hiện tại là 05:56 PM.

Tên diễn đàn: vBulletin Version 3.8.11
Được sáng lập bởi Đoàn Hiệp.
Copyright © PIC Vietnam