PIC Vietnam

PIC Vietnam (http://www.picvietnam.com/forum/index.php)
-   Cơ bản về vi điều khiển và PIC (http://www.picvietnam.com/forum/forumdisplay.php?f=8)
-   -   Cho em hỏi về sơ đồ nạp của PIC6f877A!! (http://www.picvietnam.com/forum/showthread.php?t=2628)

dvnccbmacbt 21-07-2008 05:11 PM

Cho em hỏi về sơ đồ nạp của PIC6f877A!!
 
Em sử dụng sơ đồ sau để nạp cho PIC16f877A. Nhưng không biết tại so lại không nạp được. WinPic 800 báo lỗi : PIC detected: UNKNOWN. Mong mọi người giúp đỡ. KHông biết mach của em có sai chỗ nào không? Cảm ơn mọi người nhiều.
http://img398.imageshack.us/img398/1...l211616cl1.gif

namqn 21-07-2008 06:11 PM

Trích:

Nguyên văn bởi dvnccbmacbt (Post 17578)
Em sử dụng sơ đồ sau để nạp cho PIC16f877A. Nhưng không biết tại so lại không nạp được. WinPic 800 báo lỗi : PIC detected: UNKNOWN. Mong mọi người giúp đỡ. KHông biết mach của em có sai chỗ nào không? Cảm ơn mọi người nhiều.
http://img398.imageshack.us/img398/1...l211616cl1.gif

Không rõ điện trở giữa diode và chân MCLR là bao nhiêu, tuy nhiên tốt hơn nên nối Vpp vào ngay chân MCLR thay vì qua điện trở.

Nên nối chân RB3/PGM xuống GND qua điện trở khoảng vài k, trong trường hợp chip chưa được nạp lần nào hay trước đó đã bật bit LVP trong từ cấu hình.

Thân,

phamhoangthong 21-07-2008 06:52 PM

mach nay chi su dung duoc khi 16f877a co bootloadder!

namqn 21-07-2008 07:34 PM

Trích:

Nguyên văn bởi phamhoangthong (Post 17583)
mach nay chi su dung duoc khi 16f877a co bootloadder!

Bạn nhầm rồi, mạch này nạp cho PIC thông qua ICSP. Để nạp cho PIC bằng bootloader, bạn cần một firmware và một cách thức nào đó để nối với host PC (RS-232, USB, ...).

Chú ý: lần sau bạn viết tiếng Việt có dấu nhé, diễn đàn có sẵn bộ gõ tiếng Việt, bạn có thể gõ theo kiểu ưa thích (TELEX, VNI, ...) mà không cần cài thêm gì vào máy tính.

Thân,

dvnccbmacbt 22-07-2008 12:53 AM

Cảm ơn các anh nhiều!
Nhưng các anh cho em sơ đồ chính xác luôn được không ạ? Em đã sửa như hướng dẫn nhưng sao vẫn báo lỗi như thê!
Đây là hình cái mạch nạp của em(hình như là mạch nạp falleaf 1 thì phải).
http://img132.imageshack.us/img132/6...ture018im8.jpg

Cho em hỏi thêm : khi mình nạp thì có cần cấp nguòn 5V cho Pic không? trong sơ đồ em thấy chân VDD là chân nguồn? Chân nguồn này do mình cấp hay do mach nạp cấp cho PIC? Em không chuyên về điện tử nên mù mờ lắm! Mong mọi người giúp đỡ! Em cảm ơn nhiều.

namqn 22-07-2008 03:00 AM

Trích:

Nguyên văn bởi dvnccbmacbt (Post 17600)
Cảm ơn các anh nhiều!
Nhưng các anh cho em sơ đồ chính xác luôn được không ạ? Em đã sửa như hướng dẫn nhưng sao vẫn báo lỗi như thê!
Đây là hình cái mạch nạp của em(hình như là mạch nạp falleaf 1 thì phải).
http://img132.imageshack.us/img132/6...ture018im8.jpg

Cho em hỏi thêm : khi mình nạp thì có cần cấp nguòn 5V cho Pic không? trong sơ đồ em thấy chân VDD là chân nguồn? Chân nguồn này do mình cấp hay do mach nạp cấp cho PIC? Em không chuyên về điện tử nên mù mờ lắm! Mong mọi người giúp đỡ! Em cảm ơn nhiều.

Mạch nạp của bạn không có vẻ là mạch nạp falleaf PIC1 (qua cổng COM), vì nó dùng cổng USB. Từ đâu bạn có được mạch nạp đó thì cũng phải từ đó mà hỏi xem làm thế nào để dùng được nó.

Thân,

dvnccbmacbt 22-07-2008 08:02 AM

Cái mạch nạp này em mượn của bạn em. Nó bảo đấy là mạch nap Falleaf 3 (bữa trước nhầm) . bình thường cắm PIC vào để nạp riêng thì không sao. Nhưng em muốn nạp trực tiếp trên mạch nên mới làm thế. Mà ban em cũng không biết cái sơ đồ đó. Vì thế nên mới phải lên đây hỏi. Mong các anh chỉ giúp. Em cảm ơn nhiều.

TMe 22-07-2008 08:23 AM

Trích:

Nguyên văn bởi dvnccbmacbt (Post 17578)
Em sử dụng sơ đồ sau để nạp cho PIC16f877A. Nhưng không biết tại so lại không nạp được. WinPic 800 báo lỗi : PIC detected: UNKNOWN. Mong mọi người giúp đỡ. KHông biết mach của em có sai chỗ nào không? Cảm ơn mọi người nhiều.
http://img398.imageshack.us/img398/1...l211616cl1.gif

Bạn nên chuyển VPP trực tiếp vào chân số 1 (MCLR) của PIC, R3 chọn từ 4K7 đến 10K. sơ đồ như vậy thì không có vấn đề gì nữa đâu.

Kiểm tra xem Diode có mắc ngược không nhé, xem cấu hình VPP trong winpic800 có đúng như hướng dẫn không.

dvnccbmacbt 22-07-2008 09:48 AM

Em làm được rồi.Cảm ơn mọi người nhiều!


Múi giờ GMT. Hiện tại là 03:35 AM.

Tên diễn đàn: vBulletin Version 3.8.11
Được sáng lập bởi Đoàn Hiệp.
Copyright © PIC Vietnam