PIC Vietnam

Go Back   PIC Vietnam > Kiếm Trong Diễn Ðàn

Tài trợ cho PIC Vietnam
Trang chủ Đăng Kí Hỏi/Ðáp Thành Viên Lịch Bài Trong Ngày Vi điều khiển

kết quả từ 1 tới 25 trên 80
thời gian kiếm là 0.01 giây.
Tìm Kiếm: Posts Made By: nhanh0112
Chuyên mục: Cơ bản về vi điều khiển và PIC 11-09-2015, 04:34 PM
Trả lời: 1
Lần đọc: 5,660
Người gửi nhanh0112
Bạn muốn hỏi nguyên lý hay xin code có sẵn?

Bạn muốn hỏi nguyên lý hay xin code có sẵn?
Chuyên mục: Cơ bản về vi điều khiển và PIC 25-12-2014, 05:18 PM
Trả lời: 4
Lần đọc: 4,918
Người gửi nhanh0112
Bạn không thể dùng ngắt của timer để tạo xung tần...

Bạn không thể dùng ngắt của timer để tạo xung tần số cao được, mà phải dùng pwm cứng của chip để tạo. Bạn tham khảo luồng sau.
http://www.picvietnam.com/forum/showthread.php?t=4015
Chuyên mục: Cơ bản về vi điều khiển và PIC 03-12-2014, 10:23 AM
Trả lời: 4
Lần đọc: 4,918
Người gửi nhanh0112
Đầu bài của bạn ko rõ ràng, nếu như bạn nói thì 2...

Đầu bài của bạn ko rõ ràng, nếu như bạn nói thì 2 timer sử dụng clock nội rồi. Cấu hình timer0 với chu kỳ ngắt 500ms. Cấu hình timer1 với chu kỳ ngắt nhỏ hơn. viết đại loại sẽ là (CCS):
...
Chuyên mục: Cơ bản về vi điều khiển và PIC 01-12-2014, 11:19 AM
Trả lời: 2
Lần đọc: 4,962
Người gửi nhanh0112
Sử dụng PIC có chức năng "ENHANCED...

Sử dụng PIC có chức năng "ENHANCED CAPTURE/COMPARE/PWM(ECCP) MODULE" (18F14K50, 16F716, 16F684....), dùng chức năng PWM (sử dụng chân P1A, P1B đặt mode cho 2 chân này).
Chuyên mục: Cơ bản về vi điều khiển và PIC 01-12-2014, 11:13 AM
Trả lời: 4
Lần đọc: 4,918
Người gửi nhanh0112
Thắc mắc về xung clock ngoài của TIMER1

Trong hầu hết các PIC, timer1 đều có 2 mode chọn nguồn xung clock (bit 1). Trong đó mode chọn xung nội (internal) thì cũng như các timer khác rồi. Còn mode chọn nguồn xung ngoài (external) thì có 2...
Chuyên mục: Cơ bản về vi điều khiển và PIC 08-10-2013, 12:57 AM
Trả lời: 1
Lần đọc: 3,347
Người gửi nhanh0112
set_adc_channel(0); var0=read_adc(); ...

set_adc_channel(0);
var0=read_adc();
set_adc_channel(1);
var1=read_adc();
.........
set_adc_channel(n);
var_n=read_adc();
Chuyên mục: Cơ bản về vi điều khiển và PIC 20-08-2013, 10:06 PM
Trả lời: 2
Lần đọc: 4,689
Người gửi nhanh0112
IR2110 là một IC chuyên dụng điều khiển cầu H...

IR2110 là một IC chuyên dụng điều khiển cầu H (một cách mắc tổ hợp công tắc điện tử - FET, BJT...)
- Đầu vào của IR2110 là mức logic (0-Vcc) điện áp Vcc bao nhiêu thì mức logic sẽ tương ứng.
-...
Chuyên mục: Cơ bản về vi điều khiển và PIC 23-05-2013, 09:32 AM
Trả lời: 2
Lần đọc: 3,023
Người gửi nhanh0112
Pulse Width Modulation là một chuỗi xung vuông...

Pulse Width Modulation
là một chuỗi xung vuông có tần số cố định và diện tích phần active có thể thay đổi đc.
Ví dụ phần tích cực là mức cao (active high) thì sườn lên của xung là cố định, sườn...
Chuyên mục: Cơ bản về vi điều khiển và PIC 21-12-2012, 12:31 PM
Trả lời: 8
Lần đọc: 5,861
Người gửi nhanh0112
Bạn bỏ tiếp mấy dòng này đi. #use fast_io(b) ...

Bạn bỏ tiếp mấy dòng này đi.
#use fast_io(b)
#use fast_io(d)
#priority ext,timer1
Và nữa là: biến x của bạn sẽ bị chia liên tục trong khi chờ đợi xung tiếp theo đến (việc chia liên tục sẽ làm...
Chuyên mục: Cơ bản về vi điều khiển và PIC 29-10-2012, 09:42 PM
Trả lời: 13
Lần đọc: 9,776
Người gửi nhanh0112
Còn nếu chỉ đơn thuần chỉ để nháy nhạc thì mua...

Còn nếu chỉ đơn thuần chỉ để nháy nhạc thì mua con LM3914 (15-16) (ở HN thì mua ở chợ zời, led thanh thì mua ở 17a Hàn Thuyên, xanh đỏ có cả 6k/nhót - 10bar). Tải datasheet của LM3914 sẽ có đủ sơ đồ...
Chuyên mục: Cơ bản về vi điều khiển và PIC 29-10-2012, 09:26 PM
Trả lời: 13
Lần đọc: 9,776
Người gửi nhanh0112
Viết hơi lủng củng tý, nhưng đảm bảo chạy. 8 kênh...

Viết hơi lủng củng tý, nhưng đảm bảo chạy. 8 kênh (active high), chân cẳng tùy bạn định nghĩ lại (mặc định là portB). Tín hiệu audio vào AN0 (biên độ max là 5V).
Chúc thành công!
...
Chuyên mục: Cơ bản về vi điều khiển và PIC 11-10-2012, 10:23 PM
Trả lời: 2
Lần đọc: 3,839
Người gửi nhanh0112
Nếu chỉ vì mục đích sử dụng (ko phải để học), và...

Nếu chỉ vì mục đích sử dụng (ko phải để học), và yêu cầu chỉ như trên (ko mở rộng gì thêm). Thì tôi nghĩ bạn nên google tìm thông tin về TL494 hay SG3525 sẽ có đầy đủ sơ đồ về yêu cầu của bạn, gặp...
Chuyên mục: Cơ bản về vi điều khiển và PIC 23-07-2012, 11:25 PM
Trả lời: 4
Lần đọc: 5,766
Người gửi nhanh0112
Góp ý nhỏ một chút, hy vọng giúp đc bạn! Trường...

Góp ý nhỏ một chút, hy vọng giúp đc bạn!
Trường hợp tạo với PWM với tần số (f) thấp. bạn có thể tái tạo từ một PWM tần số cao.
Ví dụ T của PWM bạn tạo ra là 1ms (tương ứng f=1kHz)
như vậy timer2...
Chuyên mục: Cơ bản về vi điều khiển và PIC 26-02-2012, 01:08 PM
Trả lời: 5
Lần đọc: 4,831
Người gửi nhanh0112
Bạn thử dùng MC34063. ko đơn giản hơn, nhưng cũng...

Bạn thử dùng MC34063. ko đơn giản hơn, nhưng cũng ko phải quá khó. ít linh kiện đi kèm, dải điện áp rộng (Max 40v thì phải), điện áp ra có thể điều chỉnh tùy thích, có bảo vệ dòng... và nhiều nhiều...
Chuyên mục: Cơ bản về vi điều khiển và PIC 16-12-2011, 02:52 PM
Trả lời: 11
Lần đọc: 7,779
Người gửi nhanh0112
Người viết code ko khởi tạo biến này cũng là một...

Người viết code ko khởi tạo biến này cũng là một thiếu sót, nhưng thường thì biến khởi tạo có giá trị là 0. Và như đoạn code dưới thì addr sẽ chạy từ 0-size (trường hợp này khai báo ban đầu là...
Chuyên mục: Cơ bản về vi điều khiển và PIC 14-12-2011, 10:29 PM
Trả lời: 11
Lần đọc: 7,779
Người gửi nhanh0112
Đó là việc mở rộng thêm led, bạn thử lắp thêm vài...

Đó là việc mở rộng thêm led, bạn thử lắp thêm vài led nữa xem sao, chắc vẫn chạy thôi. Nếu bạn muốn giảm giá trị của phần tử mạng buffer thì bạn phải giảm nhiều vấn đề khác tương ứng.
Chuyên mục: Cơ bản về vi điều khiển và PIC 13-12-2011, 07:53 PM
Trả lời: 11
Lần đọc: 7,779
Người gửi nhanh0112
Ma trận của bạn là 8x48 như vậy sẽ có 48 cột....

Ma trận của bạn là 8x48 như vậy sẽ có 48 cột. display_buffer của bạn sẽ có tương ứng 48 phần tử, mỗi phần tử là 8 bit (khai báo char mà).
Như vậy sẽ là 8 bit x 48 phần tử sẽ tương ứng với 8x48 led...
Chuyên mục: Cơ bản về vi điều khiển và PIC 13-12-2011, 07:52 PM
Trả lời: 11
Lần đọc: 7,779
Người gửi nhanh0112
Ma trận của bạn là 8x48 như vậy sẽ có 48 cột....

Ma trận của bạn là 8x48 như vậy sẽ có 48 cột. display_buffer của bạn sẽ có tương ứng 48 phần tử, mỗi phần tử là 8 bit (khai báo char mà).
Như vậy sẽ là 8 bit x 48 phần tử, tương ứng với 8 dòng x 48...
Chuyên mục: Cơ bản về vi điều khiển và PIC 13-12-2011, 07:35 PM
Trả lời: 1
Lần đọc: 3,263
Người gửi nhanh0112
Theo tui thì khai báo biến float hoặc nhân cả tử...

Theo tui thì khai báo biến float hoặc nhân cả tử với mẫu với 100 rồi chia :D
Chuyên mục: Analog - Bộ nhớ - Bảo mật - Cảm biến nhiệt độ 01-12-2011, 05:11 PM
Trả lời: 6
Lần đọc: 7,836
Người gửi nhanh0112
Chào bạn! LM35 thì tui ít dùng. Nhưng tham khảo...

Chào bạn!
LM35 thì tui ít dùng. Nhưng tham khảo một số tài liệu thì có nói rằng
con này sử dụng điện áp từ 2.7-5.5v
Và nguyên văn công thức tính của nó với 5v và 3.3v là:

Voltage at pin in...
Chuyên mục: Cơ bản về vi điều khiển và PIC 06-09-2011, 10:48 AM
Trả lời: 2
Lần đọc: 2,754
Người gửi nhanh0112
Nhìn code la lá giống CCS. Nếu đúng là CCS thì: ...

Nhìn code la lá giống CCS. Nếu đúng là CCS thì:
- ghi :write_eeprom (address, value)
- đọc:value = read_eeprom (address)
Chuyên mục: Cơ bản về vi điều khiển và PIC 23-03-2011, 09:29 PM
Trả lời: 13
Lần đọc: 8,633
Người gửi nhanh0112
Bạn đã cấu hình phần cứng cho chân MCLR chưa?...

Bạn đã cấu hình phần cứng cho chân MCLR chưa? (Nối trở với VCC thì phải)
Chuyên mục: Cơ bản về vi điều khiển và PIC 23-03-2011, 02:59 PM
Trả lời: 13
Lần đọc: 8,633
Người gửi nhanh0112
Bạn cấu hình như vậy ko chạy đc sao? Như vậy với...

Bạn cấu hình như vậy ko chạy đc sao? Như vậy với CCS là đủ rồi
Chuyên mục: Cơ bản về vi điều khiển và PIC 18-02-2011, 11:01 PM
Trả lời: 11
Lần đọc: 6,951
Người gửi nhanh0112
Cái đoạn đó mình viết hơi vắn tắt quá. Nghĩa là...

Cái đoạn đó mình viết hơi vắn tắt quá. Nghĩa là bạn phải xóa các giá trị nó đã lưu từ lần trước đó đi. viết đầy đủ sẽ là:

for(i=0;i<4;i++)
{
k[i]=-1;
}

Thực tế bạn có thể thiết...
Chuyên mục: Cơ bản về vi điều khiển và PIC 18-02-2011, 03:36 PM
Trả lời: 11
Lần đọc: 6,951
Người gửi nhanh0112
Thumbs up Mình viết lại đoạn code lấy từ trang chaokhun và...

Mình viết lại đoạn code lấy từ trang chaokhun và có chỉnh sửa một chút nhé :)
Nếu bạn sử dụng thì chỉnh sửa lại cho phù hợp (ở đây mình chỉ viết dưới dạng thuật toán)

key[]=-1//xoa mang key[] ve...
kết quả từ 1 tới 25 trên 80

 
Chuyển đến

Múi giờ GMT. Hiện tại là 07:42 AM.


Được sáng lập bởi Đoàn Hiệp
Powered by vBulletin®
Page copy protected against web site content infringement by Copyscape
Copyright © PIC Vietnam