PIC Vietnam

Go Back   PIC Vietnam > Kiếm Trong Diễn Ðàn

Tài trợ cho PIC Vietnam
Trang chủ Đăng Kí Hỏi/Ðáp Thành Viên Lịch Bài Trong Ngày Vi điều khiển

kết quả từ 1 tới 25 trên 184
thời gian kiếm là 0.09 giây.
Tìm Kiếm: Posts Made By: thaithienanh
Chuyên mục: Bootloaders - Programmers - Debuggers - Emulators 21-10-2011, 08:14 AM
Trả lời: 14
Lần đọc: 27,421
Người gửi thaithienanh
Hoàn toàn các lỗi bạn nêu ra là do 1 trong 2...

Hoàn toàn các lỗi bạn nêu ra là do 1 trong 2 nguyên nhân : set fuse hoặc mạch nạp ko ổn định. KHi set fuse bạn cứ tắt hết các fuse nào ko biết hoặc ko dùng đến, mạch nạp thì ko khuyến khích dùng JDM.
Chuyên mục: Những câu hỏi thường gặp 19-10-2011, 09:53 PM
Trả lời: 7
Lần đọc: 6,673
Người gửi thaithienanh
Do PIC kia là dòng cũ rùi, Microchip ko hỗ trợ...

Do PIC kia là dòng cũ rùi, Microchip ko hỗ trợ sản xuất nhiều nữa nên giá nó cao, loại nào mới hơn họ khuyến khích dùng hơn thì giá càng thấp :) cà 2 loại đó đều đã cũ hết rồi chỉ là một cái cũ và 1...
Chuyên mục: Cơ bản về vi điều khiển và PIC 07-06-2011, 09:18 AM
Trả lời: 7
Lần đọc: 4,623
Người gửi thaithienanh
ui trời nhìn vào là thấy sai liền, cái con 7905...

ui trời nhìn vào là thấy sai liền, cái con 7905 sao bạn lại đấu luôn vào nguồn dương vậy ??? 7905 phải đưa vào nguồn âm, và mass nguồn chính với mass 78XX, 79XX phải cách ly ra. Chúc thành công nhé.
Chuyên mục: PIC - Thiết kế và Ứng dụng 20-10-2010, 10:37 AM
Trả lời: 6
Lần đọc: 8,182
Người gửi thaithienanh
Đây là một sản phẩm quá căn bản, các bạn có thể...

Đây là một sản phẩm quá căn bản, các bạn có thể dễ dàng làm được nếu chịu khó nghiên cứu trong 2 ngày :D Cách giải quyết là :

- Mắc cảm biến hồng ngoại vào ngắt ngoài của PIC, sau đó thực thi đếm...
Chuyên mục: Chương trình dịch & các công cụ mô phỏng 28-09-2010, 02:48 AM
Trả lời: 2
Lần đọc: 8,089
Người gửi thaithienanh
Khi mô phỏng Proteus sẽ giành quyền sử dụng cổng...

Khi mô phỏng Proteus sẽ giành quyền sử dụng cổng Com của bạn như bất kỳ chương trình nào khác, và bạn ko thể gọi tới cổng com đó ở một chương trình chạy song song khác trên cùng 1 máy tính.
Chuyên mục: Điều khiển 05-09-2010, 06:44 PM
Trả lời: 4
Lần đọc: 5,183
Người gửi thaithienanh
Thực ra cái này cũng không hẳn là đa tác vụ đâu,...

Thực ra cái này cũng không hẳn là đa tác vụ đâu, bạn chỉ cần khai báo một timer định thời gian thực, sau đó mỗi lần ấn phím sẽ định thời gian cho led sáng căn cứ vào số liệu đọc ra từ timer, có thể...
Chuyên mục: Các đề tài khác 07-07-2010, 10:03 PM
Trả lời: 14
Lần đọc: 6,721
Người gửi thaithienanh
Vi điều khiển bản chất là một công cụ thay thế...

Vi điều khiển bản chất là một công cụ thay thế cho ic số một cách mềm mại và gọn gàng hơn, nên không phải cứ dùng đến vi điều khiển là phải cần có độ phức tạp, nếu muốn đơn giản và tin cậy cao bạn...
Chuyên mục: PIC - Thiết kế và Ứng dụng 07-07-2010, 04:20 AM
Trả lời: 2
Lần đọc: 3,912
Người gửi thaithienanh
Bạn có thể sử dụng tài liệu của 16F877a, thực...

Bạn có thể sử dụng tài liệu của 16F877a, thực chất 2 con này y chang nhau, 16F887 là đời sau nên có một số thay đổi hoàn thiện, nếu cần bạn chỉ cần tìm hiểu những modul mới đó.

hey vui nhé.
Chuyên mục: Cơ bản về vi điều khiển và PIC 07-07-2010, 04:16 AM
Trả lời: 4
Lần đọc: 4,119
Người gửi thaithienanh
Code có vẻ OK. 2. Nguyên lý là làm cho mỗi...

Code có vẻ OK.

2. Nguyên lý là làm cho mỗi LED 7 thanh tắt sáng trong thời gian rất ngắn để đánh lừa con mắt, vậy tại sao ko nối trực tiếp chân 1,2 của LED (trong sơ đồ) vào chân RB0 và RB1 luôn?...
Chuyên mục: Cơ bản về vi điều khiển và PIC 07-07-2010, 04:10 AM
Trả lời: 8
Lần đọc: 6,036
Người gửi thaithienanh
Thực chất đầu vào ADC mạch trên là một cầu chia...

Thực chất đầu vào ADC mạch trên là một cầu chia áp điện trở mục đích là đưa nguồn điện có hiệu điện thế lớn về mức tham chiếu 5V, từ tỉ lệ giá trị điện trở biết trước và điện áp ADC nắm bắt được ta...
Chuyên mục: Các đề tài khác 07-07-2010, 04:03 AM
Trả lời: 14
Lần đọc: 6,721
Người gửi thaithienanh
:o Thực ra đề tài này rất đơn giản về mặt điều...

:o Thực ra đề tài này rất đơn giản về mặt điều khiển, bạn chỉ cần chú trọng một tí về cơ khí, rất tiếc là mình ko có thời gian với lại mình ko thể đánh giá được địa hình để hướng dẫn bạn cách bố trí...
Chuyên mục: Trao đổi ngoài luồng 01-04-2010, 08:21 PM
Trả lời: 30
Lần đọc: 21,912
Người gửi thaithienanh
Trời đất, đang rảnh tí định ghé qua dientuvietnam...

Trời đất, đang rảnh tí định ghé qua dientuvietnam xem anh em thế nào rùi, dè đâu cửa đóng im lìm, gõ hoài hong ai ra mở cửa, còn dòng chú thích chỉa qua picvietnam nữa hic, làm ăn gì hay nhẩy lựa...
Chuyên mục: Trao đổi ngoài luồng 05-03-2010, 08:05 PM
Trả lời: 7
Lần đọc: 4,927
Người gửi thaithienanh
Thumbs up Kaka :D anh em vui nhỉ. Thôi nào, hết chuyện...

Kaka :D anh em vui nhỉ.

Thôi nào, hết chuyện rồi đi tâm sự với PIC đi tâm sự với em nào có lẽ nó "PIC" hơn không.

PIC là niềm đam mê của bạn à ? nếu đã thấy khó đi thì phải dừng lại, đánh giá...
Chuyên mục: Giao tiếp cổng COM và LPT 25-09-2009, 12:03 AM
Trả lời: 2
Lần đọc: 5,602
Người gửi thaithienanh
Mạch này theo như tác giả (tên gì quên rồi) nói...

Mạch này theo như tác giả (tên gì quên rồi) nói là chạy tốt mà mình cũng chưa thử lần nào :D mình có làm mach rất đơn giản hơn nhưng lâu rồi quên khuấy đi mất. Nhưng khẳng định một điều là bạn hoàn...
Chuyên mục: Bootloaders - Programmers - Debuggers - Emulators 08-09-2009, 09:39 PM
Trả lời: 4
Lần đọc: 5,051
Người gửi thaithienanh
Mạch trên hoàn toàn có thể nạp qua chuẩn ICSP,...

Mạch trên hoàn toàn có thể nạp qua chuẩn ICSP, theo mình bạn nên sử dụng phần mềm winpic800 chạy ổn định và không cần than phiền phần cấu hình, còn về việc bạn muốn làm lại mạch, bạn nên vào luồng...
Chuyên mục: Bootloaders - Programmers - Debuggers - Emulators 07-09-2009, 09:21 PM
Trả lời: 4
Lần đọc: 5,051
Người gửi thaithienanh
Bạn phạm sai lầm rất lớn khi "tái chế" lại...

Bạn phạm sai lầm rất lớn khi "tái chế" lại mạch này :o, thực ra cái đế cắm mà bạn tưởng là đơn đó, thực chất là đế cắm kép, nó gồm 2 đế cắm lớn nhỏ chồng vào nhau, dùng cho nhiều dạng chân vi điều...
Chuyên mục: Cơ bản về vi điều khiển và PIC 02-09-2009, 09:41 PM
Trả lời: 8
Lần đọc: 4,131
Người gửi thaithienanh
:D cái này đơn giản mừ, bạn chỉ cần thay đổi...

:D cái này đơn giản mừ, bạn chỉ cần thay đổi trạng thái in - out liên tục, tốt nhất là dùng lệnh pullup treo Port B lên mức dương (bắt xung âm), bởi vì khi treo port B lên cao hiệu điện thế đặt trên...
Chuyên mục: Matlab-Simulink & Labview & 20-Sim 31-07-2009, 09:30 PM
Trả lời: 40
Lần đọc: 53,648
Người gửi thaithienanh
Các bước bạn nêu ra rất chính xác, labview bạn cứ...

Các bước bạn nêu ra rất chính xác, labview bạn cứ hình dung nó như một trò chơi lego gồm có những mảnh ghép lớn nhỏ và phân tầng chức năng nhiệm vụ, cụ thể ở đây bạn dùng giao tiếp thông qua cổng...
Chuyên mục: PIC - Thiết kế và Ứng dụng 08-04-2009, 09:50 PM
Trả lời: 18
Lần đọc: 27,175
Người gửi thaithienanh
chúc mừng bạn :) Ý tưởng xuất sắc xuất phát từ...

chúc mừng bạn :) Ý tưởng xuất sắc xuất phát từ tình thương bè bạn, mình đã xem qua đề tài của bạn, sản phẩm việt nam phải nói thật sự không phải là công nghệ gì quá xa vời mà chiến thắng chính vì bởi...
Chuyên mục: Matlab-Simulink & Labview & 20-Sim 09-01-2009, 08:11 PM
Trả lời: 6
Lần đọc: 7,251
Người gửi thaithienanh
Chà chủ đề hội thảo lần này hay nhở :D đúng thế...

Chà chủ đề hội thảo lần này hay nhở :D đúng thế mạnh của labview rùi còn gì :beer: chúc tết sớm các bạn tất cả thành công nhé ^^
Chuyên mục: Các ngôn ngữ lập trình khác (CCS C, HT PIC,...) 10-10-2008, 02:39 PM
Trả lời: 4
Lần đọc: 5,430
Người gửi thaithienanh
Bản chất của Delay là thi hành lập lại nhiều lần...

Bản chất của Delay là thi hành lập lại nhiều lần câu lệnh rỗng với mục đích là "đốt thời gian" do mỗi lần CPU thực thi câu lệnh đó, vì vậy nó cũng tương tự như một vòng lặp đương nhiên sẽ bị tạm dừng...
Chuyên mục: Cơ cấu chấp hành (Actuator) 09-10-2008, 07:40 PM
Trả lời: 15
Lần đọc: 10,429
Người gửi thaithienanh
Vậy hả Bi có thể cho biết địa chỉ không, mình...

Vậy hả Bi có thể cho biết địa chỉ không, mình đang cần mua khoảng 10 con. Thanks trước nhé :)
Chuyên mục: Cơ bản về vi điều khiển và PIC 05-09-2008, 12:52 AM
Trả lời: 14
Lần đọc: 7,472
Người gửi thaithienanh
Với một nguồn ổn áp được lọc tốt và có dòng khá,...

Với một nguồn ổn áp được lọc tốt và có dòng khá, bạn có thể dùng IC ổn áp họ 78XX kết hợp với trans thuận (đơn cử 2SA2955) để gánh thêm dòng, còn phần lọc đầu ra bắt buộc bạn phải dùng tụ hóa có trị...
Chuyên mục: Cơ bản về vi điều khiển và PIC 05-09-2008, 12:45 AM
Trả lời: 14
Lần đọc: 7,472
Người gửi thaithienanh
Uhm cái này thì chắc chắn bạn chưa học điện tử cơ...

Uhm cái này thì chắc chắn bạn chưa học điện tử cơ bản rùi :)

Dòng được ghi trên bộ nguồn là dòng max, có nghĩa là khi tải lớn hơn giá trị đó thì bộ nguồn không còn đảm bảo tính ổn định nữa (có thể...
Chuyên mục: Những câu hỏi thường gặp 02-09-2008, 08:27 AM
Trả lời: 27
Lần đọc: 17,479
Người gửi thaithienanh
Ủa, mình xin khẳng định một điều "PG2C vô...

Ủa, mình xin khẳng định một điều "PG2C vô tội" , ngày xưa mình cũng dùng PG2 đuợc vài lần, chỉ là nạp không ổn định lắm (có thể nó đọc ko đuợc dữ liêu) nếu như mạch được thiết kế không tốt (nhất là...
kết quả từ 1 tới 25 trên 184

 
Chuyển đến

Múi giờ GMT. Hiện tại là 12:40 PM.


Được sáng lập bởi Đoàn Hiệp
Powered by vBulletin®
Page copy protected against web site content infringement by Copyscape
Copyright © PIC Vietnam