PIC Vietnam

Go Back   PIC Vietnam > Kiếm Trong Diễn Ðàn

Tài trợ cho PIC Vietnam
Trang chủ Đăng Kí Hỏi/Ðáp Thành Viên Lịch Tìm Kiếm Bài Trong Ngày Ðánh Dấu Ðã Ðọc Vi điều khiển

kết quả từ 1 tới 25 trên 74
thời gian kiếm là 0.04 giây.
Tìm Kiếm: Posts Made By: dung h
Chuyên mục: Tự giới thiệu 03-04-2012, 01:09 AM
Trả lời: 1,418
Lần đọc: 777,801
Người gửi dung h
anh lấy giúp em bài báo này A novel modeling...

anh lấy giúp em bài báo này

A novel modeling method for CDMA network planning
...
Chuyên mục: Tự giới thiệu 19-03-2012, 05:58 PM
Trả lời: 1,418
Lần đọc: 777,801
Người gửi dung h
anh lấy giúp em bài viết này: Self-organizing...

anh lấy giúp em bài viết này:
Self-organizing map approach for determining mobile user location using IEEE 802.11 signals
Digital Object Identifier: 10.1109/ITSIM.2010.5561480

em cảm ơn !
Chuyên mục: Tự giới thiệu 23-02-2012, 04:43 PM
Trả lời: 1,418
Lần đọc: 777,801
Người gửi dung h
anh down hộ em cuốn này: Design of a Stacked...

anh down hộ em cuốn này:
Design of a Stacked Microstrip Patch Antenna Using HFSS
http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5171956

thanks
Chuyên mục: Cơ cấu chấp hành (Actuator) 08-11-2011, 05:50 PM
Trả lời: 8
Lần đọc: 7,998
Người gửi dung h
nếu bạn pwm tần số thấp thì động cơ kêu, nhưng rễ...

nếu bạn pwm tần số thấp thì động cơ kêu, nhưng rễ điều khiển,nguoc lại pwm tan số cao thì động cơ chạy mịn nhung khó đk hơn thường thì dk động cơ từ 10 đến 20 khz
pic6f877a có 2 ccp bạn có thể pwm...
Chuyên mục: Cơ bản về vi điều khiển và PIC 25-06-2011, 09:43 PM
Trả lời: 18
Lần đọc: 13,700
Người gửi dung h
ban hay dung hien ap de ha ap xuong voi ti len la...

ban hay dung hien ap de ha ap xuong voi ti len la 1:n
sao cho nhan duoc tin hieu xoay chieu bien do tu 0 -> 5v
sau do ban qua xu ly adc.
tu ti le bien ap va gia tri adc ban tinh toan duoc bien do...
Chuyên mục: Giao tiếp cổng COM và LPT 25-04-2011, 05:53 PM
Trả lời: 2
Lần đọc: 4,470
Người gửi dung h
ban xem lai sem phan thiet lap baud, frame truyen...

ban xem lai sem phan thiet lap baud, frame truyen
thuong du dung : 1 start, 8 bit data, khong bit chan le, 1 stop
baud thuong 9600
ban co the su dung proteus de test vdk xem hoat dong dung chua?...
Chuyên mục: Cơ bản về vi điều khiển và PIC 28-01-2011, 02:12 PM
Trả lời: 6
Lần đọc: 4,733
Người gửi dung h
chao cac ban ! minh da lam con 18f4431 cho...

chao cac ban !
minh da lam con 18f4431 cho robot 1 nam. con nay manh ve dk dong co lam!

minh thay truong trinh cua ban khong chay dung la do ban khai bao chua dung de su dung cac modul cua no va...
Chuyên mục: Cơ bản về vi điều khiển và PIC 03-07-2010, 06:54 PM
Trả lời: 2
Lần đọc: 4,246
Người gửi dung h
bạn cứ nhấn F1 bật hellp lên trogn đó hướng dẫn...

bạn cứ nhấn F1 bật hellp lên trogn đó hướng dẫn rất rễ hiểu
setup_adc (mode); mode co thể là ADC_OFF,ADC_CLOCK_INTERNAL,ADC_CLOCK_DIV_32
setup_adc_ports (value) chọn chân làm ADC...
Chuyên mục: Thực hành 17-01-2010, 09:06 AM
Trả lời: 11
Lần đọc: 18,187
Người gửi dung h
tranistore nong la do bị qua dòng hay quá áp so...

tranistore nong la do bị qua dòng hay quá áp so vói dòng áp mặc định ,Công suất tiêu thụ trên Trans lớn do điện áp hoặc dòng điện lớn hơn mặc định
khi đó tranistor hoạt động quá mạnh tức Ib lớn ->...
Chuyên mục: Giao tiếp cổng COM và LPT 04-01-2010, 06:27 PM
Trả lời: 4
Lần đọc: 4,962
Người gửi dung h
bạn có thể dùng phần mềm com ảo dơnload trên mang...

bạn có thể dùng phần mềm com ảo dơnload trên mang về ,hoặc bạn có thể dùng visual basic viết 1 chương trình giao tiếp với vdk
nó rất đơn giản. bạn chỉ cần đọc bài hướng dẫn của bác ngô hải bác là...
Chuyên mục: Cơ bản về vi điều khiển và PIC 13-12-2009, 07:03 AM
Trả lời: 5
Lần đọc: 3,485
Người gửi dung h
muốn sử dụng ngắt timer bạn cần thiết lập như...

muốn sử dụng ngắt timer bạn cần thiết lập như sau: mình giả sử dùng ngắt timer 0
setup_timer_0 (RTCC_INTERNAL|RTCC_DIV_4); // TIMER0 sử dụng dao động nội
...
Chuyên mục: Cơ bản về vi điều khiển và PIC 08-12-2009, 07:39 PM
Trả lời: 5
Lần đọc: 3,803
Người gửi dung h
while(true) hay while(1) la thực hiện vô hạn các...

while(true) hay while(1) la thực hiện vô hạn các công việc trong vòng lặp while

còn
while(true)
{
// không làm gì
}
tức là vdk chỉ chờ khồng làm gì cả
Chuyên mục: Các ngôn ngữ lập trình khác (CCS C, HT PIC,...) 08-12-2009, 06:21 PM
Trả lời: 9
Lần đọc: 4,773
Người gửi dung h
trong HELP của ccs có hết đó bạn ah bật ccs lên...

trong HELP của ccs có hết đó bạn ah
bật ccs lên vừa viết chương trình vừa nhấn F1 đó là cách mình mới học pic vì chưa nhớ được hết lệnh
HELP của ccs rất hay và rễ hiểu!
Chuyên mục: Cơ bản về vi điều khiển và PIC 08-12-2009, 06:16 PM
Trả lời: 5
Lần đọc: 3,803
Người gửi dung h
thì bạn cứ khai báo như bình thường ...

thì bạn cứ khai báo như bình thường
enable_interrupts(INT_EXT) //sử dụng ngắt INT0
enable_interrupts(INT_EXT1) // INT1

ext_int_edge( H_TO_L ); // chọn cạnh sườn xung gây ra...
Chuyên mục: Các ngôn ngữ lập trình khác (CCS C, HT PIC,...) 07-12-2009, 11:52 AM
Trả lời: 2
Lần đọc: 3,187
Người gửi dung h
hình như trong ccs o có hàm xor bạn có thể làm...

hình như trong ccs o có hàm xor bạn có thể làm như sau:
với int1 a,b
a xor b = a*(!b) +(!a)*b
tương tự bạn có thể làm với 8 bit
Chuyên mục: Những câu hỏi thường gặp 03-12-2009, 02:34 PM
Trả lời: 1
Lần đọc: 4,019
Người gửi dung h
chân RA4 của pic 16f877a chỉ có chức năng IO,chân...

chân RA4 của pic 16f877a chỉ có chức năng IO,chân vào analog(ADC),xung clock ngoài cuatr timer0 TOCKI ,nên không phải là chân ngắt gì cả.
với bài toán của bạn chỉ cần thăm rò nút nhấn nối vào IO RA4...
Chuyên mục: Giao tiếp cổng COM và LPT 03-12-2009, 02:26 PM
Trả lời: 7
Lần đọc: 5,835
Người gửi dung h
ban xem tốc độ baud bạn đặt giữa máy tính và vdk...

ban xem tốc độ baud bạn đặt giữa máy tính và vdk đã đúng chưa? nếu mạch phần cứng ok thì dữ liệu nhận sai chủ yếu do tốc độ baud . ở trong ct của mình mình dặt baud =9600 .
khi bạn dùng virtual...
Chuyên mục: Trao đổi ngoài luồng 03-12-2009, 08:17 AM
Trả lời: 5
Lần đọc: 5,171
Người gửi dung h
vdk chỉ xử lý tín hiệu số o ,1 hay ov ,5v nên bạn...

vdk chỉ xử lý tín hiệu số o ,1 hay ov ,5v nên bạn phải chuyển đổi tín hiệu cảm biến của bạn về dạng số
LED thu phát hồng ngoại nếu chỉ cấp nguồn và phát thông thường thì phát rất ngắn
nên bạn cần...
Chuyên mục: Giao tiếp cổng COM và LPT 03-12-2009, 08:07 AM
Trả lời: 7
Lần đọc: 5,835
Người gửi dung h
chương chình của bạn lỗi rất nhiều hay bạn đươc...

chương chình của bạn lỗi rất nhiều hay bạn đươc lên không hết
1- bạn chưa khai báo tên chip sử dụng
2- bạn sử dụng PORTB,TRISB mà chưa định nghĩa cho nó
3- bạn dùng portb mà RB0 làm đầu vào cho...
Chuyên mục: Trao đổi ngoài luồng 02-12-2009, 10:48 PM
Trả lời: 5
Lần đọc: 5,171
Người gửi dung h
để đếm số người vào ra phòng bạn dùng cảm biến...

để đếm số người vào ra phòng bạn dùng cảm biến thu phát hồng ngoại đặt ở cửa ,jui đưa tín hiệu đó vào vdk 89c51 (chứ o phải pic 89c51,pic là dòng vdk của microchip ,con 89xx là của ATEM) để xử lý...
Chuyên mục: RTOS và Thuật toán với PIC 02-12-2009, 03:07 PM
Trả lời: 7
Lần đọc: 15,544
Người gửi dung h
em đọc thấy modul QEI đọc xung ecoder tốc độ cao....

em đọc thấy modul QEI đọc xung ecoder tốc độ cao. nhưng o hiểu lắm. cà o thấy ccsc hỗ trợ cho cái modul này và phải làm việc trược tiếp với các thanh ghi của pic .mong bác phamminhtuan có thể hướng...
Chuyên mục: RTOS và Thuật toán với PIC 02-12-2009, 08:31 AM
Trả lời: 7
Lần đọc: 15,544
Người gửi dung h
khi ecoder quay tạo ra 1 chuỗi xung. ecoder có...

khi ecoder quay tạo ra 1 chuỗi xung.
ecoder có 2 dây pha A và pha B để xác định chiều quay của động cơ .nên dựa vào 2 pha này bạn xác định chiều quay và điều khiển bộ đếm đếm tiến hay lùi .ecoder...
Chuyên mục: Cơ bản về vi điều khiển và PIC 30-11-2009, 06:05 PM
Trả lời: 1
Lần đọc: 4,627
Người gửi dung h
bạn khai báo ngắt RB enable_interrupts(int_rb); ...

bạn khai báo ngắt RB enable_interrupts(int_rb);
nhưng bạn chưa cho phép ngắt toàn cục nên nó o chạy enable_interrupts(global)
nên khi có ngắt nó cũng o nhảy đến ct ngắt
ext_int_edge(l_to_h); cái...
Chuyên mục: Cơ bản về vi điều khiển và PIC 30-11-2009, 08:37 AM
Trả lời: 7
Lần đọc: 6,733
Người gửi dung h
Điều khiển PID động cơ: mình thấy thế này c1:...

Điều khiển PID động cơ:
mình thấy thế này
c1: bạn nên dùng timer để đếm xung ecoder thì hay hơn, không phải nó không chính xác đâu bạn ah,nếu bạn tính toán hợp lý,trừ đi các vòng lặp,thời gian câu...
Chuyên mục: Cơ bản về vi điều khiển và PIC 26-11-2009, 11:31 PM
Trả lời: 4
Lần đọc: 3,185
Người gửi dung h
ct của bạn có những vấn đề sau: khai báo #fuses...

ct của bạn có những vấn đề sau:
khai báo #fuses LP, XT, HS
ban khai báo HS khi sử dụng thạch anh >= 4MHZ và XT với thạch anh <4MHz
và chỉ khai báo HS hoặc XT chứ o viết cả 2 như bạn vì bạn dùng...
kết quả từ 1 tới 25 trên 74

 
Chuyển đến

Múi giờ GMT. Hiện tại là 08:16 PM.


Được sáng lập bởi Đoàn Hiệp
Powered by vBulletin®
Page copy protected against web site content infringement by Copyscape
Copyright © PIC Vietnam